欢迎您访问程序员文章站本站旨在为大家提供分享程序员计算机编程知识!
您现在的位置是: 首页

VCS建立仿真生成DVE波形

程序员文章站 2024-03-21 17:32:28
...

一、环境与文件

Linux平台  csh环境
VCS 64bit
代码文件请参考《一个简单的Verilog计数器模型》

二、开始仿真

1、compiler

首先在testbench中加入如下语句:

initial begin
$vcdpluson;
end

命令调用vcs

vcs -full64 -f file.f -debug_pp +vcd+vcdpluson

参数解释

file.f 是你的设计文件索引

  save image

+vcd+vcdpluson产生Synopsys的波形文件之一vpd格式的波形文件

2、simulate

./simv

生成名为vcdpluson.vpd的文件

3、使用dve查看波形

dve –vpd vcdpluson.vpd