欢迎您访问程序员文章站本站旨在为大家提供分享程序员计算机编程知识!
您现在的位置是: 首页

Kotlin中 until和..的区别(循环)

程序员文章站 2024-03-14 23:01:23
...

1、..

for (i in 1..5) {   // for (int i = 1;i <= 5;i++) {print(i)}
  print(i)  // 12345
}

这里的 1..5 左右都是闭区间的

2、until

for (i in 1 until 5) {
  print(i) // 1234
}

另外,又有一些时候(大部分的时候)可能并不需要包括结束区间。那么,这时候需要使用到 until 函数来替代 ..: